SamMobile has affiliate and sponsored partnerships. If you buy something through one of these links, we may earn a commission.

Notifications
    News for you

    3nm Dimensity 9400 to launch in late 2024 to compete with Exynos 2500

    Phone
    By 

    Last updated: February 1st, 2024 at 11:06 UTC+01:00

    Four flagship smartphone processors will be launched by the end of this year: Apple A18 Pro, MediaTek Dimensity 9400, Qualcomm Snapdragon 8 Gen 4, and Samsung Exynos 2500 (tentative name). All four chipsets will be made using cutting-edge 3nm semiconductor chip fabrication processes. More details about the MediaTek Dimensity 9400 have been revealed.

    3nm Dimensity 9400 will launch in Q4 2024

    Two days ago, MediaTek celebrated its Zhubei office building, which is expected to be a tech landmark in Taiwan. Tsai Ming-chie, MediaTek's Chairman, anticipated that the building would be completed in 2027. The company's CEO, Cai Lixing, talked about the success of the Dimensity 9300 chip at the celebration event and expressed confidence in the rising trend of AI in smartphones. The company has already announced that its next-generation Dimensity processor will use TSMC's 3nm (N3E) process. A new report claims to reveal its specifications and capabilities. The chipset will reportedly feature one Cortex-X5 CPU core, three Cortex-X4 CPU cores, and four Cortex-A720 CPU cores. It will also feature a dedicated NPU that is capable of processing 12-15 tokens per second in the Llama 2 test (with 7 billion parameters), which is reportedly higher than 10 tokens per second for the Dimensity 9300.

    This upcoming chipset will be launched in the fourth quarter of this year, and its announcement could happen around the same time Samsung unveils its next-generation flagship smartphone processor (tentatively named Exynos 2500). The next-generation Exynos processor will reportedly use Samsung Foundry's second-generation 3nm process (SF3), which is said to be slightly better than TSMC's 3nm process. Qualcomm will likely announce the Snapdragon 8 Gen 4 processor in October 2024, and that chip is also expected to be made using TSMC's second-generation 3nm fabrication process.

    Apple will also likely unveil the A18 Pro processor with the iPhone 16 Pro series, and it will be built on TSMC's second-generation 3nm process. It will be interesting to see which of these four 3nm flagship smartphone processors will win in terms of performance, stability, and efficiency. This year, Samsung brought back the Exynos 2400 processor, and it uses Samsung Foundry's third-generation 4nm (4LPP+) process. Next year, at least some Galaxy S25 units are expected to use the Exynos 2500 processor. While the Exynos 2400 has improved, its efficiency is still not as good as competing Apple and Snapdragon chips.

    Author's Note: Samsung is reportedly working on the Exynos 2500, and the chip is internally known as the ‘Dream Chip‘. This chip is expected to be much better than previous-generation Exynos chips, as a separate team has been formed inside Samsung MX (the division that makes smartphones) to work with Samsung's System LSI team that designs Exynos chips. These teams are meant to work together to improve the performance and efficiency of Exynos chips by a huge margin. In fact, some rumors claim that Samsung could ditch the tainted Exynos branding with its next flagship processor.

    Combined with the new ARM ‘Blackhawk' CPU core, Samsung's improved focus on optimization, and Samsung Foundry's second-generation 3nm process, the next flagship smartphone chip from Samsung could actually turn out to be a good performer.

    Phone 3nmMediaTekTSMC Buy Galaxy S24

    You might also like

    Galaxy S25 could be an excellent gaming phone, thanks to Snapdragon 8 Gen 4

    Galaxy S25 could be an excellent gaming phone, thanks to Snapdragon 8 Gen 4

    Samsung will most likely launch the Galaxy S25 early next year, and the phone is expected to bring a big redesign. Apart from the new design, rumors claim it will feature the Snapdragon 8 Gen 4 chip in some countries and the Exynos 2500 in others. While both chips will most likely be quite powerful, […]

    • By Asif Iqbal Shaik
    • 13 hours ago
    MediaTek Dimensity 9300+ is here to make competition even tougher for Exynos 2500

    MediaTek Dimensity 9300+ is here to make competition even tougher for Exynos 2500

    MediaTek has launched the Dimensity 9300+ chipset, an upgraded version of the Dimensity 9300 SoC that it launched in November 2023. The new processor offers faster CPU and AI performance as well as a bunch of additional features compared to the older model. Let’s take a look. One of the four Cortex-X4 cores now runs […]

    • By Abid Iqbal Shaik
    • 6 days ago
    Samsung starts preparing for production of first 3nm Exynos chip, possibly for Galaxy S25

    Samsung starts preparing for production of first 3nm Exynos chip, possibly for Galaxy S25

    Samsung Electronics has announced that it has started taping out its first system-on-chip (SoC) using Samsung Foundry's 3nm Gate All Around (GAA) process. The mass production of that chip could start in the next few months. The company's partner, Synopsis, an electronics design automation firm, revealed that Samsung used its EDA suite to improve the […]

    • By Asif Iqbal Shaik
    • 6 days ago
    Samsung plans to start mass production of 2nm GAA chips next year

    Samsung plans to start mass production of 2nm GAA chips next year

    In the past few years, Samsung has seen a tremendous slowdown in its semiconductor chip foundry business. No big-name chip firm (apart from Samsung's System LSI division that makes Exynos chips) has used Samsung Foundry's 3nm and newer-generation 4nm process nodes. However, the company is still marching ahead with the development of newer chip process […]

    • By Asif Iqbal Shaik
    • 2 weeks ago
    Samsung rival introduces cheaper 4nm chips, vows to bring 1.6nm chips in 2026

    Samsung rival introduces cheaper 4nm chips, vows to bring 1.6nm chips in 2026

    Samsung Foundry and TSMC are the world's most advanced contract chip manufacturers, and TSMC has had the upper hand over the past few years. While Samsung has been trying to up its game, it hasn't succeeded. With its 3nm chip fabrication process, the South Korean firm had hoped to win back big-name clients, but even […]

    • By Asif Iqbal Shaik
    • 2 weeks ago
    Apple iPhones to get 2nm chips before Galaxy phones

    Apple iPhones to get 2nm chips before Galaxy phones

    In 2022, TSMC announced that its 2nm fabrication process, dubbed N2, will be ready for mass production by 2025. Soon after that, it was reported that the chipset in Apple’s iPhone 17 Pro series phones would be the first to use TSMC’s 2nm fabrication process. However, after that, we didn’t get any update on the […]

    • By Abid Iqbal Shaik
    • 3 weeks ago