Best buy guide: Galaxy Watch 6 or Galaxy S24+. Woo-hoo join SamMobile on WhatsApp or Telegram!

SamMobile has affiliate and sponsored partnerships. If you buy something through one of these links, we may earn a commission.

Notifications
    News for you

    Samsung news archive

    Samsung announces Samsung NEXT, global fund to see startups achieve success

    Samsung announces Samsung NEXT, global fund to see startups achieve success

    Samsung sees services as an important part of its future, and recognizes that innovation and talent can come from anywhere – even the smallest of startups. To that end, the Korean giant announced Samsung NEXT, a revamp of its former Global Innovation Center, to fund these startups that are paving the way in trending tech […]

    • By Deidre R.
    • 7 years ago
    Samsung brings new innovations across the board at CES 2017

    Samsung brings new innovations across the board at CES 2017

    Samsung has been a major player in the Internet of Things (IoT), but the Korean giant introduced new devices today across the board at CES 2017 for both work and play that will enhance the lives of consumers (no matter the task). First, Samsung unveiled the new QLED TV, a 75-inch monitor that lets you […]

    • By Deidre R.
    • 7 years ago
    Samsung has shipped more than five million Gear VR devices

    Samsung has shipped more than five million Gear VR devices

    Samsung dropped an interesting figure during its press conference at the Consumer Electronics Show 2017 today. President and COO of Samsung Electronics America Tim Baxter mentioned during his talk that the company has shipped more than five million Gear VR devices and consumers have viewed more than ten million hours of immersive video using Samsung's […]

    • By Adnan Farooqui
    • 7 years ago
    [Update: Purported Date] Samsung addresses elephant in the room at CES 2017, says Note 7 report will be shared ‘very soon’

    [Update: Purported Date] Samsung addresses elephant in the room at CES 2017, says Note 7 report will be shared ‘very soon’

    There was an elephant in the room this afternoon as Tim Baxter, President and COO of Samsung Electronics America walked out on stage at the Consumer Electronics Show 2017 to kick off Samsung's press conference. While many would have expected Samsung to simply not mention the Galaxy Note 7 fiasco, Baxter started off by addressing […]

    • By Adnan Farooqui
    • 7 years ago
    Samsung teams up with Under Armour for enhanced fitness apps

    Samsung teams up with Under Armour for enhanced fitness apps

    Samsung today announced that it has partnered up with Under Armour for enhanced fitness applications that bring together the best of Samsung's wearable device technology with Under Armour's suite of robust fitness applications. As part of this partnership, Under Armour is going to develop four of its best health and fitness apps for the Gear […]

    • By Adnan Farooqui
    • 7 years ago
    Samsung’s new Chromebooks are ‘Designed for Google Play’

    Samsung’s new Chromebooks are ‘Designed for Google Play’

    We had heard rumors that Samsung was working on new Chromebooks and the company has officially announced them today at the Consumer Electronics Show 2017. Designed in partnership with Google, Samsung says that the new Chromebook Plus and Chromebook Pro have been “Designed for Google Play,” that's because both notebooks come with the Play Store […]

    • By Adnan Farooqui
    • 7 years ago
    Samsung steps into the world of gaming PCs with the Samsung Notebook Odyssey

    Samsung steps into the world of gaming PCs with the Samsung Notebook Odyssey

    Samsung is making an entry into the world of gaming PCs today with the Samsung Notebook Odyssey. Available in 17.3-inch and 15.6-inch models, the company promises a blend of powerful specifications, premium features and a beautiful and innovative design in one package. “The Samsung Notebook Odyssey was designed specifically with gamers in mind and is […]

    • By Adnan Farooqui
    • 7 years ago
    [Update: Samsung jumped the gun] Gear S3, Gear S2 and Gear Fit 2 iOS support is finally live

    [Update: Samsung jumped the gun] Gear S3, Gear S2 and Gear Fit 2 iOS support is finally live

    Samsung confirmed in late 2015 that it would flip the switch on iOS support for the Gear S2 by mid-January 2016. That didn't happen and Samsung kept us waiting for a very long time until it finally kicked off the Gear S2 iOS beta program some four months ago. Just last month we reported on […]

    • By Adnan Farooqui
    • 7 years ago
    Wallpaper Wednesday: Neon

    Wallpaper Wednesday: Neon

    Welcome to the latest edition of Wallpaper Wednesday. This week we’re focusing our attention on five neon wallpapers. All images are available in a FHD resolution, which is a perfect fit for the Galaxy S7, Galaxy S7 edge, Galaxy Note 5 and other flagships, but they can, of course, be used on different smartphones too. […]

    • By Josh L.
    • 7 years ago
    Samsung rolling out Nougat for the Galaxy S7 to some users

    Samsung rolling out Nougat for the Galaxy S7 to some users

    Samsung allowed members of the Galaxy Beta Program to test beta builds of Android 7.0 Nougat for the Galaxy S7 and Galaxy S7 edge. The company ended the program late last month and said that it would try and release the update to the public in January. We've come across reports that Samsung is rolling […]

    • By Adnan Farooqui
    • 7 years ago
    Samsung Galaxy S8’s Continuum-like desktop feature might look like this

    Samsung Galaxy S8’s Continuum-like desktop feature might look like this

    With top-of-the-line processing chipsets in smartphones reaching desktop-grade performance levels, it only makes sense to offer a desktop-like functionality. Microsoft is already offering PC-like functionality with its Continuum feature on Windows 10 Mobile. We reported a couple of days ago that even Samsung might start offering PC-like functionality with the Galaxy S8 when it is […]

    • By Asif Iqbal Shaik
    • 7 years ago
    Rumor: Samsung will release a flat variant of the Galaxy S8

    Rumor: Samsung will release a flat variant of the Galaxy S8

    For the past couple of years, Samsung has been launching two variants of its flagship Galaxy S series handsets. The Galaxy S6 has a flat display while the Galaxy S6 edge touts a dual-edge curved display. It's a similar story with the Galaxy S7 and that's how it was expected to be with the Galaxy […]

    • By Adnan Farooqui
    • 7 years ago
    Daily Deal: Pick up a Samsung Wireless Charging Pad for 39% off

    Daily Deal: Pick up a Samsung Wireless Charging Pad for 39% off

    For a limited time, you can pick up Samsung's latest Wireless Charging Pad for just $30.56, which is $19.43 cheaper than its recommended retail price. The saving isn't the best bit, though. Unlike most of our Daily Deal‘s, this one isn't limited to the US — so you'll be able to take advantage of it […]

    • By Josh L.
    • 7 years ago
    Clear View, S View, and Neon Flip Wallet cases for Galaxy A3 (2017) and Galaxy A5 (2017) are now available for purchase in Europe

    Clear View, S View, and Neon Flip Wallet cases for Galaxy A3 (2017) and Galaxy A5 (2017) are now available for purchase in Europe

    Samsung unveiled the Galaxy A3 (2017) and the Galaxy A5 (2017) for the European markets two days ago. They feature a premium design, water and dust resistance, Always On Display and USB Type-C ports. Prices of both the smartphones were unveiled on the same day, and they went on preorder yesterday through MobileFun. Now, official cases for […]

    • By Asif Iqbal Shaik
    • 7 years ago
    Samsung will reportedly procure LCD panels from LG

    Samsung will reportedly procure LCD panels from LG

    If a new report from South Korea is to be believed, Samsung is in talks with fellow Korean giant, LG, to supply LCD panels for its upcoming televisions. While the two companies are rivals in the industry, a deal like this could turn out to be mutually beneficial. Head of Samsung's TV division, Kim Hyun-suk partially confirmed […]

    • By Chethan R.
    • 7 years ago
    The best features of the Galaxy A (2017) smartphones

    The best features of the Galaxy A (2017) smartphones

    The Galaxy A (2017) series of mid-range smartphones finally went official earlier this week, and Samsung has hit it out of the park in terms of the features on offer. Samsung has brought quite a few features from its flagship line down to its mid-range; we have already compared the specifications of each new Galaxy […]

    • By Abhijeet Mishra
    • 7 years ago
    Samsung details contents of January security patch

    Samsung details contents of January security patch

    Samsung has today shared the contents of this month's maintenance release on its official Security Blog. The upgrade addresses a total of 67 Common Vulnerabilities and Exposures (CVE) in the Android OS and an additional 28 patches for the South Korean company's latest smartphones, including security fixes for the Exynos 8895 and Snapdragon 835, which […]

    • By Josh L.
    • 7 years ago
    Samsung to produce 10 million units of the Galaxy S8 for its launch in April

    Samsung to produce 10 million units of the Galaxy S8 for its launch in April

    If a new report published by ET News is anything to go by, it would appear that Samsung has confirmed it will produce 10 million units of the much-anticipated Galaxy S8 in time for its expected launch in April. The firm reportedly delivered a production plan to its suppliers earlier this month, which reveals that the […]

    • By Josh L.
    • 7 years ago
    Samsung will source Galaxy S8 batteries from the same supplier it dumped after Galaxy Note 7 fires

    Samsung will source Galaxy S8 batteries from the same supplier it dumped after Galaxy Note 7 fires

    A significant chunk of Samsung Electronics' battery requirements are met by Samsung SDI – the conglomerate's battery division – so it was not surprising to see that SDI was the major supplier for Galaxy Note 7 batteries. When the handset started catching fire soon after it was released, a hurried investigation concluded that there was […]

    • By Adnan Farooqui
    • 7 years ago
    [Update: More Pictures] Samsung showcases advancement in home entertainment technology with new QLED TV series shipping next month

    [Update: More Pictures] Samsung showcases advancement in home entertainment technology with new QLED TV series shipping next month

    Samsung applied for QLED TV trademarks last year which suggested that the company would soon launch new TVs that will use QLED technology. That's precisely what it has done today. Samsung has showcased its advancement in home entertainment technology by unveiling the new QLED TV series. The new QLED TV series – Q9, Q8, and […]

    • By Adnan Farooqui
    • 7 years ago